adc0809

所属分类:单片机开发
开发工具:C/C++
文件大小:73KB
下载次数:116
上传日期:2011-06-08 14:00:04
上 传 者约定
说明:  51单片机+ADC0809组成的8路电压显示系统,通过串口将实时数据上传,keil c+ proteus
(Composed of 51 MCU+ ADC0809 8-way voltage display system, by serial real-time data upload, keil c+ proteus)

文件列表:
adc0809\adc0809\adc (10561, 2010-03-29)
adc0809\adc0809\adc.hex (4679, 2010-03-29)
adc0809\adc0809\adc.lnp (61, 2010-03-29)
adc0809\adc0809\adc.M51 (13175, 2010-03-29)
adc0809\adc0809\adc.Opt (1797, 2011-06-08)
adc0809\adc0809\adc.plg (0, 2011-06-08)
adc0809\adc0809\adc.Uv2 (1725, 2011-06-08)
adc0809\adc0809\adc0809.c (556, 2010-03-29)
adc0809\adc0809\adc_Opt.Bak (1798, 2011-06-08)
adc0809\adc0809\adc_Uv2.Bak (2153, 2010-03-29)
adc0809\adc0809\led.c (1090, 2010-03-25)
adc0809\adc0809\ma.c (1201, 2010-03-29)
adc0809\adc0809\ma.LST (2812, 2010-03-29)
adc0809\adc0809\ma.OBJ (10214, 2010-03-29)
adc0809\adc0809\numcode.h (93, 2008-11-14)
adc0809\adc0809\RS232.lst (2593, 2010-03-01)
adc0809\adc0809\STARTUP.A51 (6376, 2008-11-14)
adc0809\adc0809\STARTUP.LST (14069, 2010-03-29)
adc0809\adc0809\STARTUP.OBJ (749, 2010-03-29)
adc0809\Last Loaded yh0.DBK (143902, 2010-04-17)
adc0809\yh0.DSN (144505, 2010-04-17)
adc0809\yh0.PWI (874, 2011-06-08)
adc0809\adc0809 (0, 2011-06-08)
adc0809 (0, 2011-06-08)

近期下载者

相关文件


收藏者