SPI

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:48KB
下载次数:52
上传日期:2011-06-11 10:59:43
上 传 者hechunzhi99
说明:  含有fifo缓冲器的SPI接口源代码,用verilog语言实现
(SPI Interface fifo buffer containing the source code, using verilog language)

文件列表:
SPI\fifo4.v (4378, 2007-04-05)
SPI\rsacypher.vhd (5056, 2007-04-05)
SPI\simple_spi.pdf (55328, 2007-04-05)
SPI\simple_spi_top.v (10507, 2007-04-05)
SPI (0, 2007-04-05)

近期下载者

相关文件


收藏者