sata3.0协议及FPGA各模块实现

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:22666KB
下载次数:65
上传日期:2020-02-13 01:02:31
上 传 者shelleyxia
说明:  sata3.0协议及FPGA各模块实现,有代码及文档说明。
(Sata3.0 protocol and FPGA module implementation, with code and documentation.)

文件列表:
sata.doc (46592, 2009-08-12)
SATA协议分析及其FPGA实现_唐纯杰.caj (1939137, 2017-10-22)
高速大容量存储系统关键技术研究与实现.pdf (9186324, 2017-10-23)
关于硬盘接口的专业知识(SATA、SATA2.0、SATA3.0).docx (26520, 2017-10-23)
基于FPGA的SATA3_0主机端控制器的设计_刘赞.caj (1751501, 2017-10-22)
基于FPGA的SATA控制器 20100730.pdf (581850, 2017-10-23)
基于FPGA的SATA主机端控制器的设计_陈才.caj (1189702, 2017-10-22)
基于SATA硬盘和FPGA的高速数据采集存储系统.pdf (339544, 2017-10-23)
SATA3.0.pdf (9093918, 2017-10-23)
SATA3_0物理层设计与FPGA实现_杨亚涛.kdh (559171, 2017-10-22)
SATA3_0协议物理层握手模块设计与验证_吴从中.caj (529384, 2017-10-22)

近期下载者

相关文件


收藏者