2FSK调制解调的FPGA实现(VHDL)

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2409KB
下载次数:2
上传日期:2020-02-19 18:36:18
上 传 者无线电之家99
说明:  2FSK调制解调的FPGA设计,基于XINLINX的ISE平台开发,采用VHDL语言设计,有设计文档,欢迎学习借鉴
(The FPGA design of 2FSK modulation and demodulation, based on the ISE platform of xinlinx, is designed with VHDL language, with design documents, welcome to learn)

文件列表:
2FSK调制解调的FPGA实现(VHDL)\FPGA课程设计报告书-2FSK调制解调器设计.docx (1608352, 2019-01-09)
2FSK调制解调的FPGA实现(VHDL)\two_fsk.rar (1323323, 2019-01-09)
2FSK调制解调的FPGA实现(VHDL) (0, 2020-02-19)

近期下载者

相关文件


收藏者