Multisim仿真实验三 触发器

所属分类:其他
开发工具:Others
文件大小:124KB
下载次数:3
上传日期:2020-03-03 18:48:59
上 传 者飞蛾扑火888
说明:  1、测试D触发器的逻辑功能。 2、触发器之间的相互转换。 3、用JK触发器组成双向时钟脉冲电路,并测试其波形。
(1. Test the logic function of D trigger. 2. Mutual conversion between triggers. 3. The two-way clock pulse circuit is composed of JK Trigger and its waveform is tested.)

文件列表:
Multisim仿真实验三 触发器.docx (132089, 2020-03-03)

近期下载者

相关文件


收藏者