src_uart

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:4KB
下载次数:0
上传日期:2020-04-19 10:41:14
上 传 者long12345
说明:  verilog实现串口功能,分为uart_top,tx,rx,speed sel模块
(verilog for uart function)

文件列表:
src_uart\my_uart_rx.v (3332, 2011-06-24)
src_uart\my_uart_top.v (1787, 2013-06-18)
src_uart\my_uart_tx.v (2677, 2011-06-24)
src_uart\speed_select.v (1565, 2011-06-24)

近期下载者

相关文件


收藏者