CODE

所属分类:单片机开发
开发工具:C/C++
文件大小:230KB
下载次数:0
上传日期:2020-06-03 11:08:05
上 传 者tanze520
说明:  51单片机按键驱动控制,pwm控制rgb彩灯
(The control of RGB color lamp by 51 single chip microcomputer)

文件列表:
CODE\include\adc.h (1296, 2020-01-04)
CODE\include\clk_sys.h (524, 2019-12-14)
CODE\include\comm_with_JL6928B.h (817, 2020-01-09)
CODE\include\key.h (3157, 2020-01-10)
CODE\include\led_rgb.h (2450, 2020-01-10)
CODE\include\port.h (539, 2020-01-09)
CODE\include\power_management.h (4456, 2020-01-10)
CODE\include\pwm.h (730, 2019-12-25)
CODE\include\timer.h (542, 2019-12-19)
CODE\include\uart.h (1354, 2020-01-09)
CODE\include\uart_debug.h (629, 2019-12-20)
CODE\include\user_config.h (9597, 2020-01-10)
CODE\include\user_typedef.h (1050, 2019-12-19)
CODE\Project\HCLinkHwSetICOpt.ini (4182, 2020-01-15)
CODE\Project\hclink_pwd.log (49232, 2020-01-10)
CODE\Project\Listings\adc.lst (6893, 2020-05-29)
CODE\Project\Listings\clk_sys.lst (3221, 2020-05-29)
CODE\Project\Listings\comm_with_JL6928B.lst (3657, 2020-05-29)
CODE\Project\Listings\key.lst (23248, 2020-05-29)
CODE\Project\Listings\led_rgb.lst (12650, 2020-05-29)
CODE\Project\Listings\main.lst (4732, 2020-05-29)
CODE\Project\Listings\port.lst (4277, 2020-05-29)
CODE\Project\Listings\power_management.lst (28843, 2020-05-29)
CODE\Project\Listings\Pro.m51 (69425, 2020-05-29)
CODE\Project\Listings\pwm.lst (10491, 2020-05-29)
CODE\Project\Listings\STARTUP.lst (14148, 2020-05-29)
CODE\Project\Listings\timer.lst (7669, 2020-05-29)
CODE\Project\Listings\uart.lst (17858, 2020-05-29)
CODE\Project\Listings\uart_debug.lst (2406, 2020-05-29)
CODE\Project\Objects\adc.obj (32571, 2020-05-29)
CODE\Project\Objects\clk_sys.obj (27406, 2020-05-29)
CODE\Project\Objects\comm_with_JL6928B.obj (27232, 2020-05-29)
CODE\Project\Objects\key.obj (46656, 2020-05-29)
CODE\Project\Objects\led_rgb.obj (38245, 2020-05-29)
CODE\Project\Objects\main.obj (40280, 2020-05-29)
CODE\Project\Objects\port.obj (27439, 2020-05-29)
CODE\Project\Objects\power_management.obj (48390, 2020-05-29)
CODE\Project\Objects\Pro (400007, 2020-05-29)
CODE\Project\Objects\Pro.build_log.htm (1364, 2020-05-29)
CODE\Project\Objects\Pro.hex (22469, 2020-05-29)
... ...

/************************************************** * @目录路径说明 *************************************************/ 1:include:头文件包含 2:user:源代码.c文件 3:Project:工程文件(包括工程配置以及生产的hex文件等) /************************************************** * @修改记录 *************************************************/ 1:20191220: 创建,完成功能: 1.1:按键软开机 1.2:PWM波控制RGB彩灯 1.3:ADC转换,检测电池电压 1.4:将POWER_KEY按键管脚的电平转发给蓝牙芯片 1.5:使用外部RST复位,hex文件烧录时必须配置P27管脚为外部管脚

近期下载者

相关文件


收藏者