crc

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:1KB
下载次数:2
上传日期:2020-07-18 23:33:35
上 传 者9518style
说明:  本代码用于对8bit任意数据进行crc校验,其中校验多项式为x^32 + x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + 1
(This code is used for CRC verification of 8bit arbitrary data, where the verification polynomial is x ^ 32 + x ^ 26 + x ^ 23 + x ^ 22 + x ^ 16 + x ^ 12 + x ^ 11 + x ^ 10 + x ^ 8 + x ^ 7 + x ^ 5 + x ^ 4 + x ^ 2 + x ^ 1 + 1)

文件列表:
crc.v (3862, 2019-03-15)
Isim_crc.v (3726, 2019-03-21)

近期下载者

相关文件


收藏者