CAN协议控制器的Verilog实现

所属分类:VHDL/FPGA/Verilog
开发工具:Vivado
文件大小:35KB
下载次数:7
上传日期:2020-08-04 23:06:18
上 传 者游游游叶
说明:  CAN 2.0B协议控制器的实现,采用verilog语言
(CAN 2.0B controller, using verilog, and it can be systhesised in vivado.)

文件列表:
can_acf.v (14646, 2008-04-29)
can_bsp.v (56317, 2008-04-29)
can_btl.v (7901, 2008-04-29)
can_crc.v (662, 2008-04-29)
can_defines.v (854, 2008-04-29)
can_fifo.v (13725, 2020-06-22)
can_ibo.v (319, 2008-04-29)
can_register.v (482, 2008-04-29)
can_register_asyn.v (659, 2008-04-29)
can_register_asyn_syn.v (746, 2008-04-29)
can_register_syn.v (652, 2008-04-29)
can_registers.v (30822, 2008-04-29)
other (0, 2020-08-04)
other\can_bitstuff.v (1213, 2020-08-04)
other\can_testbench(old).v (1900, 2020-08-04)
other\can_testbench.v (51557, 2020-08-04)
other\can_testbench_defines.v (548, 2020-08-04)
other\can_top.v (15890, 2020-08-04)
other\timescale.v (20, 2020-08-04)

近期下载者

相关文件


收藏者