apbi2c-master

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:435KB
下载次数:11
上传日期:2020-08-25 11:13:11
上 传 者AyanamiC
说明:  apb转i2c verilog 实现
(APB bus interface to I2C bus interface)

文件列表:
doc (0, 2014-05-24)
doc\REAME BEFORE DO ANYTHING (972, 2014-05-24)
doc\apbi2c_spec.pdf (564776, 2014-05-24)
rtl (0, 2014-05-24)
rtl\apb.v (3903, 2014-05-24)
rtl\fifo.v (3774, 2014-05-24)
rtl\i2c.v (4670, 2014-05-24)
rtl\module_i2c.v (66519, 2014-05-24)

近期下载者

相关文件


收藏者