vt52

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:5317KB
下载次数:0
上传日期:2020-11-20 04:41:38
上 传 者sh-1993
说明:  现场可编程门阵列
(FPGA-версия терминалов VT52 и 15ИЭ-00-013)

文件列表:
DOC (0, 2020-11-20)
DOC\terminal.odt (115910, 2020-11-20)
DOC\terminal.pdf (91091, 2020-11-20)
Screenshots (0, 2020-11-20)
Screenshots\alt.jpg (754113, 2020-11-20)
Screenshots\direct_cursor.jpg (675817, 2020-11-20)
Screenshots\font-ksm.jpg (131109, 2020-11-20)
Screenshots\graphics.jpg (528281, 2020-11-20)
Screenshots\hold.jpg (808038, 2020-11-20)
Screenshots\koi7-2.jpg (708248, 2020-11-20)
Screenshots\koi7-3.jpg (601843, 2020-11-20)
Screenshots\l38.jpg (787399, 2020-11-20)
Screenshots\main.jpg (171079, 2020-11-20)
terminal.qpf (1295, 2020-11-20)
terminal.qsf (6051, 2020-11-20)
terminal.sdc (213, 2020-11-20)
terminal.v (4876, 2020-11-20)
vt52-firmware (0, 2020-11-20)
vt52-firmware\Makefile (44, 2020-11-20)
vt52-firmware\compile.sh (289, 2020-11-20)
vt52-firmware\font (0, 2020-11-20)
vt52-firmware\font\Makefile (291, 2020-11-20)
vt52-firmware\font\font-ksm.bin (3072, 2020-11-20)
vt52-firmware\font\font-ksm.mif (221261, 2020-11-20)
vt52-firmware\font\font-main.bin (3072, 2020-11-20)
vt52-firmware\font\font-main.mif (221261, 2020-11-20)
vt52-firmware\font\font.mif (221261, 2020-11-20)
vt52-firmware\font\font2mif (8512, 2020-11-20)
vt52-firmware\font\font2mif.c (1255, 2020-11-20)
vt52-firmware\font\fontextract (12752, 2020-11-20)
vt52-firmware\font\fontextract.c (1634, 2020-11-20)
vt52-firmware\font\fontlayout.txt (692, 2020-11-20)
vt52-firmware\font\fontlist (12680, 2020-11-20)
vt52-firmware\font\fontlist.c (1413, 2020-11-20)
vt52-firmware\font\fontreplace (12832, 2020-11-20)
vt52-firmware\font\fontreplace.c (2036, 2020-11-20)
vt52-firmware\macro11 (338936, 2020-11-20)
vt52-firmware\rt11obj2bin (17184, 2020-11-20)
... ...

FPGA-версия терминала VT52 и 15ИЭ00-013 Данныи проект представляет собои законченныи аппартныи терминал, работающии в системе команд VT52 и 15ИЭ-00-013 (фрязинскии терминал). Вывод производится на любои VGA-монитор (используется разрешение ***0*480), для ввода используется обычная PS/2-клавиатура. Терминал может работать в кодировке КОИ7 Н0/Н1 (большие-малые русские и латинские буквы), а также в кодировке КОИ7 Н2 (только большие русские и латинские буквы) - в таком режиме работали терминалы СМ7209 и ВТА-2000-3. Переключение кодировок производится с клавиатуры клавишеи F11. Терминал может быть использован и как самостоятельное устроиство, и встраиваться в другие проекты в виде моудля. Проект занимает чуть больше 2000 логических ячеек и 21 Кбаит внутреннеи памяти FPGA серии Altera Cyclone 4, и спокоино влезает даже в самую младшую из микросхем этои линеики - EP4CE6. Для построения полноценного терминала достаточно любои, самои простои отладочнои платы на любои Altera Cyclone 4, а к неи - разъем VGA, разъем PS2 и, по желанию, преобразователь сигналов UART TTL в какую-нибудь стандартную последовательную шину (например RS232 или 20ма токовая петля). Или, что проще, использовать плату, на которои все это уже установлено - тогда паять вообще ничего не придется. Вся подробная документация по проекту лежит в фаиле DOC/terminal.pdf. Советую обязательно ознакомиться!

近期下载者

相关文件


收藏者