5678

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:6952KB
下载次数:1
上传日期:2020-12-03 01:12:48
上 传 者sajjad09
说明:  Convolutional encoder implementation in VHDL source code,READ MORE. Interleaver or interleaving, READ MORE. Data Mapper or mapping or modulation types-BPSK,QPSK,QAM READ MORE. BPSK modulation,READ MORE. QPSK modulation vhdl source code,READ MORE. 16QAM modulation,READ MORE. 64 QAM modulation

文件列表:
_____ ______ - ____ _______.bok (38004736, 2010-11-14)

近期下载者

相关文件


收藏者