一种基于FPGA的PWM脉冲发生器设计_俞晨光

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:258KB
下载次数:0
上传日期:2020-12-11 10:35:00
上 传 者文木柳
说明:  使用fpga生成pwm发生器的编程思想,本文主要的思想是采用的计数的方法
(Programming idea of generating PWM generator with FPGA)

文件列表:
一种基于FPGA的PWM脉冲发生器设计_俞晨光.caj (327431, 2020-09-25)

近期下载者

相关文件


收藏者