DDS

所属分类:嵌入式/单片机/硬件编程
开发工具:Verilog
文件大小:1931KB
下载次数:2
上传日期:2021-01-11 16:19:27
上 传 者zjd11341
说明:  基于FPGA的低频函数信号发生器,在EGO1平台上上实现
(Low frequency function signal generator based on FPGA is implemented on ego1 platform)

文件列表:
DDS (0, 2020-06-30)
DDS\.Xil (0, 2020-06-30)
DDS\.Xil\DDS_propImpl.xdc (2011, 2020-06-15)
DDS\DDS.cache (0, 2020-06-30)
DDS\DDS.cache\compile_simlib (0, 2020-06-30)
DDS\DDS.cache\compile_simlib\activehdl (0, 2020-06-14)
DDS\DDS.cache\compile_simlib\ies (0, 2020-06-14)
DDS\DDS.cache\compile_simlib\modelsim (0, 2020-06-14)
DDS\DDS.cache\compile_simlib\questa (0, 2020-06-14)
DDS\DDS.cache\compile_simlib\riviera (0, 2020-06-14)
DDS\DDS.cache\compile_simlib\vcs (0, 2020-06-14)
DDS\DDS.cache\compile_simlib\xcelium (0, 2020-06-14)
DDS\DDS.cache\ip (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4 (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a.logs (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a.logs\runme.log (27351, 2020-06-14)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a\0b899c88f2a32f8a.xci (6901, 2020-06-14)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a\rom_sine.dcp (61869, 2020-06-14)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a\rom_sine_sim_netlist.v (96704, 2020-06-14)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a\rom_sine_sim_netlist.vhdl (126636, 2020-06-14)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a\rom_sine_stub.v (1287, 2020-06-14)
DDS\DDS.cache\ip\2017.4\0b899c88f2a32f8a\rom_sine_stub.vhdl (1423, 2020-06-14)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e.logs (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e.logs\runme.log (27528, 2020-06-14)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e\11a7acc413a5964e.xci (6913, 2020-06-14)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e\rom_sawtooth.dcp (15001, 2020-06-14)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e\rom_sawtooth_sim_netlist.v (7720, 2020-06-14)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e\rom_sawtooth_sim_netlist.vhdl (14078, 2020-06-14)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e\rom_sawtooth_stub.v (1295, 2020-06-14)
DDS\DDS.cache\ip\2017.4\11a7acc413a5964e\rom_sawtooth_stub.vhdl (1431, 2020-06-14)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36.logs (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36.logs\runme.log (26754, 2020-06-14)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36 (0, 2020-06-30)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36\9caceb2f4efc0d36.xci (6907, 2020-06-14)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36\rom_square.dcp (13414, 2020-06-14)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36\rom_square_sim_netlist.v (6784, 2020-06-14)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36\rom_square_sim_netlist.vhdl (12044, 2020-06-14)
DDS\DDS.cache\ip\2017.4\9caceb2f4efc0d36\rom_square_stub.v (1291, 2020-06-14)
... ...

The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.

近期下载者

相关文件


收藏者