hw4_fifo
fifo 

所属分类:VHDL/FPGA/Verilog
开发工具:Vivado
文件大小:17577KB
下载次数:0
上传日期:2021-02-17 03:32:38
上 传 者jamimu
说明:  fifo

文件列表:
hw4_fifo\.Xil (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib\activehdl (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib\ies (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib\modelsim (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib\questa (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib\riviera (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib\vcs (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\compile_simlib\xcelium (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1 (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5bcb449a96ac8cfc (0, 2020-11-20)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5bcb449a96ac8cfc\5bcb449a96ac8cfc.xci (26726, 2020-11-20)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5bcb449a96ac8cfc\fifo_generator_0.dcp (67049, 2020-11-20)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5bcb449a96ac8cfc\fifo_generator_0_sim_netlist.v (107393, 2020-11-20)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5bcb449a96ac8cfc\fifo_generator_0_sim_netlist.vhdl (156454, 2020-11-20)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5bcb449a96ac8cfc\fifo_generator_0_stub.v (1476, 2020-11-20)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5bcb449a96ac8cfc\fifo_generator_0_stub.vhdl (1637, 2020-11-20)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5c6538e207d40233 (0, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5c6538e207d40233\5c6538e207d40233.xci (26726, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5c6538e207d40233\fifo_generator_0.dcp (66383, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5c6538e207d40233\fifo_generator_0_sim_netlist.v (107325, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5c6538e207d40233\fifo_generator_0_sim_netlist.vhdl (156289, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5c6538e207d40233\fifo_generator_0_stub.v (1476, 2020-12-11)
hw4_fifo\hw5_fifo.cache\ip\2020.1\5c6538e207d40233\fifo_generator_0_stub.vhdl (1637, 2020-12-11)
hw4_fifo\hw5_fifo.cache\wt (0, 2020-11-20)
hw4_fifo\hw5_fifo.cache\wt\gui_handlers.wdf (6677, 2020-12-11)
hw4_fifo\hw5_fifo.cache\wt\java_command_handlers.wdf (2087, 2020-12-11)
hw4_fifo\hw5_fifo.cache\wt\project.wpc (121, 2020-12-11)
hw4_fifo\hw5_fifo.cache\wt\synthesis.wdf (5561, 2020-12-11)
hw4_fifo\hw5_fifo.cache\wt\synthesis_details.wdf (100, 2020-11-20)
hw4_fifo\hw5_fifo.cache\wt\webtalk_pa.xml (6515, 2020-12-11)
hw4_fifo\hw5_fifo.hbs (0, 2020-12-11)
hw4_fifo\hw5_fifo.hbs\hier (0, 2020-11-20)
hw4_fifo\hw5_fifo.hw (0, 2020-12-11)
hw4_fifo\hw5_fifo.hw\hw_1 (0, 2020-11-20)
hw4_fifo\hw5_fifo.hw\hw_1\hw.xml (791, 2020-11-20)
hw4_fifo\hw5_fifo.hw\hw_1\wave (0, 2020-11-20)
hw4_fifo\hw5_fifo.hw\hw5_fifo.lpr (343, 2020-11-20)
... ...

The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.

近期下载者

相关文件


收藏者