CPLD程序
CPLD 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:0
上传日期:2021-02-23 11:40:13
上 传 者maxiaojun
说明:  高压变频功率单元CPLD参考程序,主要功能产生驱动脉冲
(h-bridge power cell cpld code)

文件列表:
CPLD程序.v (11710, 2021-02-23)

近期下载者

相关文件


收藏者