07_buzzer_pwm_test

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3350KB
下载次数:0
上传日期:2021-03-14 14:00:11
上 传 者哈夫
说明:  基于FPGA,利用verilog hdl语言实现PWM蜂鸣器实验
(Based on FPGA, using Verilog HDL language to realize PWM buzzer experiment)

文件列表:
07_buzzer_pwm_test\ax301_ax4010_base.tcl (683, 2017-08-08)
07_buzzer_pwm_test\buzzer_pwm_test.jdi (135, 2017-09-11)
07_buzzer_pwm_test\buzzer_pwm_test.qpf (38, 2017-08-07)
07_buzzer_pwm_test\buzzer_pwm_test.qsf (2250, 2018-03-22)
07_buzzer_pwm_test\buzzer_pwm_test.qws (619, 2018-03-22)
07_buzzer_pwm_test\buzzer_pwm_test_assignment_defaults.qdf (55628, 2017-07-25)
07_buzzer_pwm_test\db\.cmp.kpt (209, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.(0).cnf.cdb (8978, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.(0).cnf.hdb (1801, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.(1).cnf.cdb (4511, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.(1).cnf.hdb (1559, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.(2).cnf.cdb (4372, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.(2).cnf.hdb (1816, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.asm.qmsg (3058, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.asm.rdb (812, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.asm_labs.ddb (9627, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cbx.xml (97, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cmp.bpm (629, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cmp.cdb (43281, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cmp.hdb (17753, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cmp.idb (2524, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cmp.logdb (8529, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cmp.rdb (21764, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cmp_merge.kpt (215, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd (745501, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd (744983, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd (740736, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.db_info (140, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.fit.qmsg (20731, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.hier_info (11571, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.hif (627, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.lpc.html (786, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.lpc.rdb (479, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.lpc.txt (1736, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.map.ammdb (129, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.map.bpm (607, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.map.cdb (13835, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.map.hdb (16491, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.map.kpt (5052, 2018-03-22)
07_buzzer_pwm_test\db\buzzer_pwm_test.map.logdb (4, 2018-03-22)
... ...

This folder contains data for incremental compilation. The compiled_partitions sub-folder contains previous compilation results for each partition. As long as this folder is preserved, incremental compilation results from earlier compiles can be re-used. To perform a clean compilation from source files for all partitions, both the db and incremental_db folder should be removed. The imported_partitions sub-folder contains the last imported QXP for each imported partition. As long as this folder is preserved, imported partitions will be automatically re-imported when the db or incremental_db/compiled_partitions folders are removed.

近期下载者

相关文件


收藏者