AXI-stream总线多端口仲裁算法

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:6KB
下载次数:3
上传日期:2021-04-02 22:45:45
上 传 者奎木狼
说明:  AXI-stream总线多端口仲裁算法,包括固定优先级和轮询两种
(Axi stream bus multi port arbitration algorithm includes fixed priority and polling)

文件列表:
AXI-stream总线多端口仲裁算法 (0, 2021-04-02)
AXI-stream总线多端口仲裁算法\arbiter.v (4892, 2020-10-06)
AXI-stream总线多端口仲裁算法\axis_arb_mux.v (9350, 2020-10-06)
AXI-stream总线多端口仲裁算法\priority_encoder.v (3052, 2020-10-06)

近期下载者

相关文件


收藏者