简易状态机学习成果
fpga 

所属分类:VHDL/FPGA/Verilog
开发工具:Quartus II
文件大小:327KB
下载次数:0
上传日期:2021-04-20 09:27:00
上 传 者minuto
说明:  状态机的每一个状态代表一个事件,从执行当前事件到执行另一事件我们称之为状态的跳转或状态的转移,我们需要做的就是执行该事件然后跳转到一下时间,这样我们的系统就“活”了,可以正常的运转起来了。
(Each state of the state machine represents an event. From executing the current event to executing another event, we call it state jump or state transfer. What we need to do is to execute the event and then jump to the next time. In this way, our system will be "alive" and can operate normally.)

文件列表:
简易状态机学习成果.docx (337077, 2021-04-13)

近期下载者

相关文件


收藏者