汽车尾灯的实现

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:69KB
下载次数:0
上传日期:2021-04-21 17:01:42
上 传 者
说明:  通过变成实现汽车尾灯的变化,不同的led灯亮代表不同的状态。
(Through the realization of the car taillight changes, different LED lights on, on behalf of different states)

文件列表:
汽车尾灯的实现.pdf (85656, 2021-04-21)

近期下载者

相关文件


收藏者