2选1数据选择器

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:123KB
下载次数:0
上传日期:2021-04-21 17:11:16
上 传 者
说明:  用modelsim实现2选1数据选择器的功能
(Implementation of 2-out-of-1 data selector)

文件列表:
2选1数据选择器.pdf (149856, 2021-04-21)

近期下载者

相关文件


收藏者