IPcore

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:5330KB
下载次数:22
上传日期:2011-08-20 12:04:56
上 传 者haoyanchao
说明:  FPGA 的各种 ip core 供大家参考
(FPGA various ip core for your reference)

文件列表:
IP核\395_vgs.tar.gz (1210859, 2011-08-17)
IP核\3des_vhdl.tar.gz (120901, 2011-08-17)
IP核\51\8051软核使用步骤.pdf (302043, 2009-06-04)
IP核\51\CPU_Core.vqm (1518571, 2006-04-24)
IP核\ata.tar.gz (836130, 2011-08-17)
IP核\AVR_Core.tar.gz (59872, 2011-08-17)
IP核\camera.tar.gz (417153, 2011-08-17)
IP核\core_arm.tar.gz (666523, 2011-08-17)
IP核\i2c\bench\CVS\Entries (14, 2011-08-17)
IP核\i2c\bench\CVS\Repository (10, 2011-08-17)
IP核\i2c\bench\CVS\Root (13, 2011-08-17)
IP核\i2c\bench\verilog\CVS\Entries (200, 2011-08-17)
IP核\i2c\bench\verilog\CVS\Repository (18, 2011-08-17)
IP核\i2c\bench\verilog\CVS\Root (13, 2011-08-17)
IP核\i2c\bench\verilog\i2c_slave_model.v (11527, 2011-08-17)
IP核\i2c\bench\verilog\spi_slave_model.v (3934, 2011-08-17)
IP核\i2c\bench\verilog\tst_bench_top.v (14591, 2011-08-17)
IP核\i2c\bench\verilog\wb_master_model.v (5566, 2011-08-17)
IP核\i2c\CVS\Entries (102, 2011-08-17)
IP核\i2c\CVS\Repository (4, 2011-08-17)
IP核\i2c\CVS\Root (13, 2011-08-17)
IP核\i2c\doc\CVS\Entries (59, 2011-08-17)
IP核\i2c\doc\CVS\Repository (8, 2011-08-17)
IP核\i2c\doc\CVS\Root (13, 2011-08-17)
IP核\i2c\doc\i2c_specs.pdf (211471, 2011-08-17)
IP核\i2c\doc\src\CVS\Entries (51, 2011-08-17)
IP核\i2c\doc\src\CVS\Repository (12, 2011-08-17)
IP核\i2c\doc\src\CVS\Root (13, 2011-08-17)
IP核\i2c\doc\src\I2C_specs.doc (464896, 2011-08-17)
IP核\i2c\documentation\CVS\Entries (2, 2011-08-17)
IP核\i2c\documentation\CVS\Repository (18, 2011-08-17)
IP核\i2c\documentation\CVS\Root (13, 2011-08-17)
IP核\i2c\rtl\CVS\Entries (25, 2011-08-17)
IP核\i2c\rtl\CVS\Repository (8, 2011-08-17)
IP核\i2c\rtl\CVS\Root (13, 2011-08-17)
IP核\i2c\rtl\verilog\CVS\Entries (259, 2011-08-17)
IP核\i2c\rtl\verilog\CVS\Repository (16, 2011-08-17)
IP核\i2c\rtl\verilog\CVS\Root (13, 2011-08-17)
IP核\i2c\rtl\verilog\i2c_master_bit_ctrl.v (17487, 2011-08-17)
IP核\i2c\rtl\verilog\i2c_master_byte_ctrl.v (10547, 2011-08-17)
... ...

-- This code is provided for free and may be used and -- -- distributed without restriction provided that the -- -- copyright statement is not removed from the file and -- -- that any derivative work contains the original -- -- copyright notice and the associated disclaimer. -- -- Comments and suggestions are always welcome -- The i2c_master core consists of three files: - i2c_master_top -- top level - i2c_master_byte_ctrl -- byte controller - i2c_master_bit_ctrl -- bit controller VHDL needs to be compiled in order. The files are listed above in descending order. I2C.VHD and tst_ds1621.vhd are not supported anymore. They remain mostly for historical purposes, altough they might prove usefull. Richard Herveille rherveille@opencores.org

近期下载者

相关文件


收藏者