Quartus-II-Design-modelsim

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1905KB
下载次数:17
上传日期:2011-08-24 15:10:00
上 传 者Adin210
说明:  Altera Quartus ii 和Modelsim 仿真应用介绍
(Altera Quartus ii and Modelsim simulation applications introduced)

文件列表:
Quartus II Design Series_Verification_8_0_modelsim.ppt (2804736, 2009-10-30)

近期下载者

相关文件


收藏者