CORDIC_SinCos

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:26
上传日期:2011-08-24 19:33:06
上 传 者liudongpei
说明:  利用坐标旋转计算方法CORDIC操作计算sin和cos函数值。
(Coordinate rotation calculations using CORDIC operations calculate sin and cos function value.)

文件列表:
CORDIC_SinCos.v (35632, 2011-08-24)

近期下载者

相关文件


收藏者