nova_latest

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:874KB
下载次数:20
上传日期:2011-08-25 16:28:59
上 传 者salvary
说明:  h.264完整的解码器,用verilog实现,属于opencores
(h.264 full decoder, implemented by verilog, one of opencores)

文件列表:
nova_latest\nova\tags\Start\src\Beha_BitStream_ram.v (1188, 2008-04-30)
nova_latest\nova\tags\Start\src\BitStream_buffer.v (11928, 2008-04-30)
nova_latest\nova\tags\Start\src\BitStream_controller.v (26212, 2008-04-30)
nova_latest\nova\tags\Start\src\bitstream_gclk_gen.v (12973, 2008-04-30)
nova_latest\nova\tags\Start\src\BitStream_parser_FSM_gating.v (28246, 2008-04-30)
nova_latest\nova\tags\Start\src\bs_decoding.v (46433, 2008-04-30)
nova_latest\nova\tags\Start\src\cavlc_consumed_bits_decoding.v (1890, 2008-04-30)
nova_latest\nova\tags\Start\src\cavlc_decoder.v (10583, 2008-04-30)
nova_latest\nova\tags\Start\src\CodedBlockPattern_decoding.v (5974, 2008-04-30)
nova_latest\nova\tags\Start\src\dependent_variable_decoding.v (2479, 2008-04-30)
nova_latest\nova\tags\Start\src\DF_mem_ctrl.v (30401, 2008-04-30)
nova_latest\nova\tags\Start\src\DF_pipeline.v (34462, 2008-04-30)
nova_latest\nova\tags\Start\src\DF_reg_ctrl.v (16318, 2008-04-30)
nova_latest\nova\tags\Start\src\DF_top.v (7585, 2008-04-30)
nova_latest\nova\tags\Start\src\end_of_blk_decoding.v (2884, 2008-04-30)
nova_latest\nova\tags\Start\src\exp_golomb_decoding.v (6319, 2008-04-30)
nova_latest\nova\tags\Start\src\ext_frame_RAM0_wrapper.v (5209, 2008-04-30)
nova_latest\nova\tags\Start\src\ext_frame_RAM1_wrapper.v (5221, 2008-04-30)
nova_latest\nova\tags\Start\src\ext_RAM_ctrl.v (3542, 2008-04-30)
nova_latest\nova\tags\Start\src\heading_one_detector.v (2569, 2008-04-30)
nova_latest\nova\tags\Start\src\hybrid_pipeline_ctrl.v (11044, 2008-04-30)
nova_latest\nova\tags\Start\src\Inter_mv_decoding.v (95493, 2008-04-30)
nova_latest\nova\tags\Start\src\Inter_pred_CPE.v (3831, 2008-04-30)
nova_latest\nova\tags\Start\src\Inter_pred_LPE.v (24439, 2008-04-30)
nova_latest\nova\tags\Start\src\Inter_pred_pipeline.v (34093, 2008-04-30)
nova_latest\nova\tags\Start\src\Inter_pred_reg_ctrl.v (127253, 2008-04-30)
nova_latest\nova\tags\Start\src\Inter_pred_sliding_window.v (133307, 2008-04-30)
nova_latest\nova\tags\Start\src\Inter_pred_top.v (29344, 2008-04-30)
nova_latest\nova\tags\Start\src\Intra4x4_PredMode_decoding.v (15346, 2008-04-30)
nova_latest\nova\tags\Start\src\Intra_pred_PE.v (70818, 2008-04-30)
nova_latest\nova\tags\Start\src\Intra_pred_pipeline.v (33236, 2008-04-30)
nova_latest\nova\tags\Start\src\Intra_pred_reg_ctrl.v (37241, 2008-04-30)
nova_latest\nova\tags\Start\src\Intra_pred_top.v (14749, 2008-04-30)
nova_latest\nova\tags\Start\src\IQIT.v (33256, 2008-04-30)
nova_latest\nova\tags\Start\src\level_decoding.v (7630, 2008-04-30)
nova_latest\nova\tags\Start\src\nC_decoding.v (31198, 2008-04-30)
nova_latest\nova\tags\Start\src\nova.v (8382, 2008-04-30)
nova_latest\nova\tags\Start\src\nova_defines.v (12392, 2008-04-30)
... ...

近期下载者

相关文件


收藏者