CAVLC

所属分类:VHDL/FPGA/Verilog
开发工具:Video
文件大小:15801KB
下载次数:19
上传日期:2011-08-25 16:55:12
上 传 者salvary
说明:  关于自己在万方数据库上收集的近五年的CAVLC熵解码器设计的文档,用FPGA/VLSI实现
(Articles database on their own in the collection of nearly five years of CAVLC entropy decoder design document, with FPGA/VLSI implementation)

文件列表:
H_264视频解码IP中的熵解码硬件设计.nh (4075815, 2011-06-12)
H_264视频解码芯片关键模块的研究与实现.kdh (866504, 2011-06-08)
H.264中采用FPGA实现的变长码编解码器.PDF (264440, 2010-11-10)
H_264视频标准的FPGA解码器实现研究.kdh (990287, 2011-04-14)
基于FPGA的CAVLC编解码器设计与实现.pdf (370100, 2010-12-16)
基于H_264_AVC中CAVLC算法研究及VLSI设计.kdh (2632975, 2011-04-14)
基于SoC平台设计的H264AVC CAVLC解码器.pdf (434811, 2010-12-16)
基于多级查找表的VLC算法的FPGA实现.pdf (595987, 2011-01-05)
基于门控时钟的低功耗CAVLC解码器设计.pdf (283752, 2011-01-11)
H264 CAVLC解码器的硬件设计与实现.pdf (1414769, 2010-12-28)
H264AVC中CAVLC解码器IP核的设计.pdf (470357, 2010-12-28)
H264变字长解码器的硬件设计与实现.pdf (1779665, 2010-12-28)
H264解码器的系统设计及CAVLC的硬件实现.pdf (275559, 2010-12-16)
H264熵解码器的设计与FPGA实现.pdf (4261403, 2010-12-16)
H264视频解码IP核的设计与实现.pdf (425307, 2010-12-16)
H264中采用FPGA实现的变长码编解码器.pdf (448768, 2010-12-16)

近期下载者

相关文件


收藏者