traffic

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:564KB
下载次数:6
上传日期:2011-09-25 13:07:09
上 传 者Zhangyan123456
说明:  基于FPGA的交通灯实现verilog语音
(FPGA-based voice traffic lights to achieve verilog)

文件列表:
traffic\fifo\fifo.ise (196244, 2011-09-04)
traffic\fifo\fifo.ise_ISE_Backup (196244, 2011-09-04)
traffic\fifo\templates\coregen.xml (761, 2011-09-03)
traffic\traffic\dd.v (857, 2011-09-02)
traffic\traffic\device_usage_statistics.html (55839, 2011-09-02)
traffic\traffic\seg.v (689, 2011-09-01)
traffic\traffic\t.bgn (5150, 2011-09-02)
traffic\traffic\t.bit (212459, 2011-09-02)
traffic\traffic\t.bld (775, 2011-09-02)
traffic\traffic\t.cmd_log (23334, 2011-09-02)
traffic\traffic\t.drc (38, 2011-09-02)
traffic\traffic\t.lfp (0, 2011-09-02)
traffic\traffic\t.lso (6, 2011-09-01)
traffic\traffic\t.ncd (46205, 2011-09-02)
traffic\traffic\t.ngc (62054, 2011-09-02)
traffic\traffic\t.ngd (93587, 2011-09-02)
traffic\traffic\t.ngr (56409, 2011-09-02)
traffic\traffic\t.pad (9705, 2011-09-02)
traffic\traffic\t.par (6908, 2011-09-02)
traffic\traffic\t.pcf (1205, 2011-09-02)
traffic\traffic\t.prj (20, 2011-09-02)
traffic\traffic\t.stx (0, 2011-09-02)
traffic\traffic\t.syr (29152, 2011-09-02)
traffic\traffic\t.twr (1952, 2011-09-02)
traffic\traffic\t.twx (17302, 2011-09-02)
traffic\traffic\t.udo (190, 2011-09-02)
traffic\traffic\t.unroutes (148, 2011-09-02)
traffic\traffic\t.ut (512, 2011-09-02)
traffic\traffic\t.v (4018, 2011-09-02)
traffic\traffic\t.xpi (46, 2011-09-02)
traffic\traffic\t.xst (1103, 2011-09-02)
traffic\traffic\test.cel (0, 2011-09-02)
traffic\traffic\test.lfp (877, 2011-09-02)
traffic\traffic\test.ucf (803, 2011-09-02)
traffic\traffic\timing.twr (1652, 2011-09-02)
traffic\traffic\traffic.ise (274893, 2011-09-03)
traffic\traffic\traffic.ise_ISE_Backup (274893, 2011-09-03)
traffic\traffic\traffic.ntrc_log (3657, 2011-09-02)
traffic\traffic\transcript (2003, 2011-09-02)
traffic\traffic\tst.v (994, 2011-09-02)
... ...

近期下载者

相关文件


收藏者