parity2258

所属分类:VHDL/FPGA/Verilog
开发工具:MultiPlatform
文件大小:25KB
下载次数:61
上传日期:2006-04-06 12:35:25
上 传 者qnit
说明:  奇偶校验码的VERILOG源码,为MODELSIM下的一个工程。有测试文件。
(parity VERILOG source code for MODELSIM of a project. A test document.)

文件列表:
parity\work\_info (1284, 2006-03-17)
parity\work\parity\_primary.vhd (298, 2006-03-17)
parity\work\parity\verilog.asm (3624, 2006-03-17)
parity\work\parity\_primary.dat (329, 2006-03-17)
parity\work\parity (0, 2006-03-17)
parity\work\parity_encode\_primary.vhd (269, 2006-03-17)
parity\work\parity_encode\verilog.asm (3368, 2006-03-17)
parity\work\parity_encode\_primary.dat (323, 2006-03-17)
parity\work\parity_encode (0, 2006-03-17)
parity\work\parity_decode\_primary.vhd (312, 2006-03-17)
parity\work\parity_decode\verilog.asm (3323, 2006-03-17)
parity\work\parity_decode\_primary.dat (310, 2006-03-17)
parity\work\parity_decode (0, 2006-03-17)
parity\work\test\_primary.vhd (68, 2006-03-17)
parity\work\test\verilog.asm (4113, 2006-03-17)
parity\work\test\_primary.dat (556, 2006-03-17)
parity\work\test (0, 2006-03-17)
parity\work\test_encode\_primary.vhd (82, 2006-03-17)
parity\work\test_encode\verilog.asm (4121, 2006-03-17)
parity\work\test_encode\_primary.dat (563, 2006-03-17)
parity\work\test_encode (0, 2006-03-17)
parity\work\test_decode\_primary.vhd (82, 2006-03-17)
parity\work\test_decode\verilog.asm (4137, 2006-03-17)
parity\work\test_decode\_primary.dat (569, 2006-03-17)
parity\work\test_decode (0, 2006-03-17)
parity\work (0, 2006-03-17)
parity\parity_encode.v (710, 2006-03-17)
parity\parity_decode.v (508, 2006-03-17)
parity\test_parity.v (958, 2006-03-17)
parity\test_encode.v (975, 2006-03-17)
parity\test_decode.v (985, 2006-03-17)
parity\vsim.wlf (32768, 2006-03-17)
parity\parity.mpf (18179, 2006-03-17)
parity\parity.cr.mti (1139, 2006-03-19)
parity (0, 2006-03-17)

近期下载者

相关文件


收藏者