report

所属分类:Modem编程
开发工具:Visual C++
文件大小:249KB
下载次数:8
上传日期:2011-10-19 17:48:23
上 传 者jinlinghappy
说明:  数控脉宽脉冲信号发生器:数控脉宽脉冲信号发生器能够在脉宽控制键的控制下,按确定的步长改变输出脉冲信号占空比,即使脉冲宽度可调,同时以占空比的形式显示脉宽情况。
(Digital pulse-width pulse generator: NC pulse width pulse width control signal generator able to control key, press OK steps to change the duty cycle of the output pulse signal, even if the pulse width adjustable, while the duty cycle displays the pulse width of.)

文件列表:
report.docx (271221, 2009-09-29)

近期下载者

相关文件


收藏者