Learn-FPGA-Programming

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:115155KB
下载次数:0
上传日期:2023-01-21 18:13:56
上 传 者sh-1993
说明:  学习FPGA编程,Packt出版
(Learn FPGA Programming, published by Packt)

文件列表:
CH1 (0, 2023-01-18)
CH1\build (0, 2023-01-18)
CH1\build\challenge (0, 2023-01-18)
CH1\build\challenge\challenge.xpr (10360, 2023-01-18)
CH1\build\logic_ex (0, 2023-01-18)
CH1\build\logic_ex\logic_ex.xpr (10346, 2023-01-18)
CH1\build\logic_ex_basys3 (0, 2023-01-18)
CH1\build\logic_ex_basys3\logic_ex_basys3.xpr (10426, 2023-01-18)
CH1\build\xdc (0, 2023-01-18)
CH1\build\xdc\Basys-3-Master.xdc (13250, 2023-01-18)
CH1\build\xdc\Nexys-A7-100T-Master.xdc (19679, 2023-01-18)
CH1\hdl (0, 2023-01-18)
CH1\hdl\challenge.sv (288, 2023-01-18)
CH1\hdl\logic_ex.sv (765, 2023-01-18)
CH1\tb (0, 2023-01-18)
CH1\tb\tb.sv (818, 2023-01-18)
CH1\tb\tb_challenge.sv (576, 2023-01-18)
CH10 (0, 2023-01-18)
CH10\build (0, 2023-01-18)
CH10\build\debounce (0, 2023-01-18)
CH10\build\debounce\debounce.xpr (10143, 2023-01-18)
CH10\build\final_project (0, 2023-01-18)
CH10\build\final_project\final_project.xpr (21376, 2023-01-18)
CH10\build\ps2 (0, 2023-01-18)
CH10\build\ps2\ps2.xpr (10457, 2023-01-18)
CH10\build\xdc (0, 2023-01-18)
CH10\build\xdc\vga.xdc (31682, 2023-01-18)
CH10\hdl (0, 2023-01-18)
CH10\hdl\debounce.sv (969, 2023-01-18)
CH10\hdl\final_project.sv (59676, 2023-01-18)
CH10\hdl\i2c_temp_flt.sv (13234, 2023-01-18)
CH10\hdl\i2c_wrapper.sv (1578, 2023-01-18)
CH10\hdl\pdm_inputs.sv (1717, 2023-01-18)
CH10\hdl\pdm_top.sv (3860, 2023-01-18)
CH10\hdl\ps2_host.sv (7720, 2023-01-18)
CH10\hdl\text_rom.sv (26751, 2023-01-18)
CH10\hdl\vga_core.sv (16029, 2023-01-18)
CH10\tb (0, 2023-01-18)
... ...

# FPGA Programming for Beginners FPGA Programming for Beginners This is the code repository for [FPGA Programming for Beginners](https://www.packtpub.com/product/FPGA-Programming-for-Beginners/978178***05413?utm_source=github&utm_medium=repository&utm_campaign=978178***05413), published by Packt. **Learn FPGA Programming, published by Packt** ## What is this book about? Field Programmable Gate Arrays (FPGAs) have now become a core part of most modern electronic and computer systems. However, to implement your ideas in the real world, you need to get your head around the FPGA architecture, its toolset, and critical design considerations. FPGA Programming for Beginners will help you bring your ideas to life by guiding you through the entire process of programming FPGAs and designing hardware circuits using SystemVerilog. This book covers the following exciting features: * Understand the FPGA architecture and its implementation * Get to grips with writing SystemVerilog RTL * Make FPGA projects using SystemVerilog programming * Work with computer math basics, parallelism, and pipelining * Explore the advanced topics of AXI and serial interfaces * Discover how you can implement a VGA interface in your projects If you feel this book is for you, get your [copy](https://www.amazon.com/dp/178***05414) today! https://www.packtpub.com/ ## Instructions and Navigations All of the code is organized into folders. For example, Chapter02. The code will look like the following: ``` always @(posedge CK) begin stage = D; Q = stage; end ``` **Following is what you need for this book:** This FPGA design book is for embedded system developers, engineers, and programmers who want to learn FPGA and SystemVerilog programming from scratch. FPGA designers looking to gain hands-on experience in working on real-world projects will also find this book useful. With the following software and hardware list you can run all code files present in the book (Chapter 1-11). ### Software and Hardware List | Chapter | Software required | OS required | | -------- | ------------------------------------ | ----------------------------------- | | 1-11 | Xilinx Vivado 2020.1 | Windows, Linux (Centos 7.4-7.7 or Ubuntu 18.04 or 20.04) | | 1-11 | Nexys A7 Board | Windows, Linux (Centos 7.4-7.7 or Ubuntu 18.04 or 20.04) | We also provide a PDF file that has color images of the screenshots/diagrams used in this book. [Click here to download it](https://static.packt-cdn.com/downloads/978178***05413_ColorImages.pdf). ## Errata * Page 24 (Paragraph 2, line 4) and Page 34 (Paragraph 1, line 2): **Appendix** _should be_ **github folder /CHx/build/** which contains the tcl file for non-project ### Related products * Practical Python Programming for IoT [[Packt]](https://www.packtpub.com/product/Practical-Python-Programming-for-IoT/9781838***2461?utm_source=github&utm_medium=repository&utm_campaign=9781838***2461) [[Amazon]](https://www.amazon.com/dp/1838***2469) * Hands-On RTOS with Microcontrollers [[Packt]](https://www.packtpub.com/product/Hands-On-RTOS-with-Microcontrollers/9781838826734?utm_source=github&utm_medium=repository&utm_campaign=9781838826734) [[Amazon]](https://www.amazon.com/dp/1838826734) ## Get to Know the Author **Frank Bruno** is an experienced high-performance design engineer specializing in FPGAs with some ASIC experience. He has worked for companies such as SpaceX and Number Nine, and currently works as an FPGA engineer for Allstone Trading. ### Download a free PDF If you have already purchased a print or Kindle version of this book, you can get a DRM-free PDF version at no cost.
Simply click on the link to claim your free PDF.

https://packt.link/free-ebook/978178***05413


近期下载者

相关文件


收藏者