chisel3-bootcamp

所属分类:collect
开发工具:Scala
文件大小:0KB
下载次数:0
上传日期:2020-07-19 14:15:26
上 传 者sh-1993
说明:  [chisel-bootcamp]([https: github.com freechipsproject chisel- bootcamp)中的所有实例,可直接在本地运行,无需配置jupyter环境。](https: github.com freechipsproject chisel- bootcamp\)%E4%B8%AD%E7%9A%84%E6%89%80%E6%9C%89%E5%AE%9E%E4%BE%8B%EF%BC%8C%E5%8F%AF%E7%9B%B4%E6%8E%A5%E5%9C%A8%E6%9C%AC%E5%9C%B0%E8%BF%90%E8%A1%8C%EF%BC%8C%E6%97%A0%E9%9C%80%E9%85%8D%E7%BD%AEjupyter%E7%8E%AF%E5%A2%83%E3%80%82),
(All instances in [chisel bootcamp] ([https: github. com freechipproject chisel - bootcamp) can run locally without configuring the jupyter environment.] (https: github. com freechipproject chisel - bootcamp ) %E4% B8% AD% E7% 9A% 84% E6% 89% 80% E6% 9C% 89% E5% AE% 9E% E4% BE% 8B% EF% BC% 8C% E5% 8F% AF% E7% 9B% B4% E6% 8E% A5% E5% 9C% A8% E6% 9C% AC% E5% 9C% B0% E8% BF% 90% E8% A1% 8C% EF% BC% 8C% E6% 97% A0% E9% 80% E9% 85% 8D% E7% BD% AEjupyter% E7% 8E% AF% E5% A2% 83% E3% 80% 82),)

文件列表:
build.sbt (1925, 2020-07-19)
img/ (0, 2020-07-19)
img/type_hierarchy.svg (12654, 2020-07-19)
project/ (0, 2020-07-19)
project/build.properties (19, 2020-07-19)
src/ (0, 2020-07-19)
src/main/ (0, 2020-07-19)
src/main/scala/ (0, 2020-07-19)
src/main/scala/hello/ (0, 2020-07-19)
src/main/scala/hello/Hello.scala (109, 2020-07-19)
src/main/scala/week2/ (0, 2020-07-19)
src/main/scala/week2/Arbiter.scala (720, 2020-07-19)
src/main/scala/week2/ClockExamples.scala (1703, 2020-07-19)
src/main/scala/week2/Comb.scala (257, 2020-07-19)
src/main/scala/week2/DecoupledGcd.scala (1503, 2020-07-19)
src/main/scala/week2/FIRBlock.scala (3615, 2020-07-19)
src/main/scala/week2/FindMax.scala (1534, 2020-07-19)
src/main/scala/week2/GradLife.scala (1196, 2020-07-19)
src/main/scala/week2/LastConnect.scala (715, 2020-07-19)
src/main/scala/week2/Max3.scala (389, 2020-07-19)
src/main/scala/week2/My4ElementFir.scala (1991, 2020-07-19)
src/main/scala/week2/MyManyDynamicElementVecFir.scala (1537, 2020-07-19)
src/main/scala/week2/MyModule.scala (358, 2020-07-19)
src/main/scala/week2/MyOperators.scala (398, 2020-07-19)
src/main/scala/week2/MyShiftRegister.scala (1087, 2020-07-19)
src/main/scala/week2/ParameterizedAdder.scala (448, 2020-07-19)
src/main/scala/week2/Passthrough.scala (308, 2020-07-19)
src/main/scala/week2/PassthroughGenerator.scala (214, 2020-07-19)
src/main/scala/week2/Polynomial.scala (578, 2020-07-19)
src/main/scala/week2/QueueModule.scala (246, 2020-07-19)
src/main/scala/week2/RegInitModule.scala (586, 2020-07-19)
src/main/scala/week2/RegNextModule.scala (439, 2020-07-19)
src/main/scala/week2/RegisterModule.scala (1951, 2020-07-19)
src/main/scala/week2/Sort4.scala (2225, 2020-07-19)
src/main/scala/week3/ (0, 2020-07-19)
src/main/scala/week3/AsyncFIFO.scala (1792, 2020-07-19)
src/main/scala/week3/BadTypeModule.scala (674, 2020-07-19)
... ...

## chisel3-bootcamp [chisel-bootcamp](https://github.com/freechipsproject/chisel-bootcamp)中的所有实例,可直接在本地运行,无需配置jupyter环境。 ### 简介 这里只包含了[chisel-bootcamp](https://github.com/freechipsproject/chisel-bootcamp)的第2-4章,每章都放在一个`package`中,第***i***章放在了`package week`***i*** 中。 ### 环境要求 - 系统要求:Windows, Linux - 软件要求:[sbt](https://www.scala-sbt.org/)和[Scala](https://scala-lang.org) > sbt在国内可能会比较慢,建议切换成阿里源配置如下: 1. ~/.sbt中创建`repositories`文件,并添加如下内容 ```shell [repositories] local osc: https://maven.aliyun.com/nexus/content/groups/public/ typesafe: https://repo.typesafe.com/typesafe/ivy-releases/, [organization]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)[revision]/[type]s/[artifact](-[classifier]).[ext], bootOnly sonatype-oss-releases maven-central sonatype-oss-snapshots ``` 2. 添加`-Dsbt.override.build.repos=true`到sbt软件的配置文件中, 如果是Linux系统,添加到`path_to_installation/sbt/conf/sbtopts`末尾. 如果是windows,添加到`path_to_installation/sbt/conf/sbtconfig.txt`末尾. ### 快速开始 1. 打开sbt 2. 测试week2包中Sort4 ```shell test:runMain week2.Week2Main sort4 ``` 最后一行会出现[Success] 3. 生成Sort4的Verilog代码 ```shell test:runMain utils.getVerilog sort4 ``` 最终会在该项目的根目录中生成三个文件:`Sort4.v, Sort4.fir, Sort4.anno.json` ### 测试项目 1. 格式 ```shell test:runMain [package name].[main name] [testfile name] ``` 2. 说明 - package name : 包名称,这里有`week2`, `week3`, `week4` - main name : 每个包中都有一个包含main函数的object文件,用于测试根据[testfilen name]测试选择测试文件的 - testfile name : 测试文件姓名,需要自己到[main name]的文件中指定。 例如,如果想测试week2中的GradLife,Week2Main中的test添加相应的映射,然后打开sbt,执行 ```shell test:runMain week2.WeekMain gradlife ``` ### 生成Verilog 生成Verilog的步骤: 1. 在utils中getVerilog中添加相应的子项 2. 打开sbt,生成Verilog ```shell test:runMain utils.getVerilog 文件名 ``` ### 一些笔记 - [week2](src/main/scala/week2/README.md) - [week3](src/main/scala/week3/README.md) - [week4](src/main/scala/week4/README.md)

近期下载者

相关文件


收藏者