Verilog-Programming

所属分类:硬件设计
开发工具:Verilog
文件大小:0KB
下载次数:0
上传日期:2017-09-01 17:06:32
上 传 者sh-1993
说明:  该存储库将为您提供使用Verilog的各种数字电路的编程示例。,
(This repository will provide you programming examples of various Digital Circuits using Verilog.,)

文件列表:
3x8 Decoder/ (0, 2017-09-01)
3x8 Decoder/decoder_3x8.v (385, 2017-09-01)
3x8 Decoder/decoder_3x8_tb.v (199, 2017-09-01)
3x8 Decoder/decoder_3x8_waveform.PNG (29838, 2017-09-01)
3x8 Multiplexer/ (0, 2017-09-01)
3x8 Multiplexer/mux_3x8.v (398, 2017-09-01)
3x8 Multiplexer/mux_3x8_tb.v (235, 2017-09-01)
3x8 Multiplexer/mux_3x8_waveform.PNG (22869, 2017-09-01)
4 bit Ripple Counter/ (0, 2017-09-01)
4 bit Ripple Counter/D_ff.txt (160, 2017-09-01)
4 bit Ripple Counter/T_ff.txt (177, 2017-09-01)
4 bit Ripple Counter/ripple_carry_counter.txt (214, 2017-09-01)
4 bit Ripple Counter/ripple_counter_waveform.PNG (17970, 2017-09-01)
4 bit Ripple Counter/ripple_tb.txt (427, 2017-09-01)
Basic Gates/ (0, 2017-09-01)
Basic Gates/BasicGates.v (538, 2017-09-01)
Basic Gates/BasicGates_tb.v (463, 2017-09-01)
Basic Gates/BasicGates_waveform.bmp (1080194, 2017-09-01)
Booth Multiplier 8 bit/ (0, 2017-09-01)
Booth Multiplier 8 bit/multiplier.v (1024, 2017-09-01)
Booth Multiplier 8 bit/multiplier_waveform.PNG (23210, 2017-09-01)
Booth Multiplier 8 bit/testbench.v (531, 2017-09-01)
D Flip Flop/ (0, 2017-09-01)
D Flip Flop/D_ff.v (244, 2017-09-01)
D Flip Flop/D_ff_tb.v (406, 2017-09-01)
D Flip Flop/D_ff_waveform.bmp (16314, 2017-09-01)
Frequency Divide by 2/ (0, 2017-09-01)
Frequency Divide by 2/freq_div_2.v (275, 2017-09-01)
Frequency Divide by 2/freq_div_2_tb.v (178, 2017-09-01)
Frequency Divide by 2/freq_div_2_waveform.PNG (17347, 2017-09-01)
Frequency Divide by 3/ (0, 2017-09-01)
Frequency Divide by 3/freq_div_3.v (540, 2017-09-01)
Frequency Divide by 3/freq_div_3_tb.v (249, 2017-09-01)
Frequency Divide by 3/freq_div_3_waveform.PNG (18450, 2017-09-01)
Frequency Divide by N even/ (0, 2017-09-01)
Frequency Divide by N even/do.v (411, 2017-09-01)
Frequency Divide by N even/freq_div_even.PNG (15674, 2017-09-01)
Frequency Divide by N even/test.v (358, 2017-09-01)
Frequency Multiply by 2/ (0, 2017-09-01)
Frequency Multiply by 2/freq_mul_2.v (161, 2017-09-01)
... ...

# Verilog-Programming This repository will provide you examples of various Digital Circuits, programmed using Verilog language.

近期下载者

相关文件


收藏者