fusesoc_template

所属分类:硬件设计
开发工具:Python
文件大小:0KB
下载次数:0
上传日期:2021-07-25 22:45:51
上 传 者sh-1993
说明:  如何开始使用olofk fusesoc.的示例。,
(Example of how to get started with olofk fusesoc.,)

文件列表:
.vscode/ (0, 2021-07-25)
.vscode/extensions.json (63, 2021-07-25)
.vscode/settings.json (114, 2021-07-25)
cores/ (0, 2021-07-25)
cores/and/ (0, 2021-07-25)
cores/and/and.core (1728, 2021-07-25)
cores/and/boards/ (0, 2021-07-25)
cores/and/boards/nexys_a7/ (0, 2021-07-25)
cores/and/boards/nexys_a7/pinout.xdc (346, 2021-07-25)
cores/and/boards/tinyfpga_bx/ (0, 2021-07-25)
cores/and/boards/tinyfpga_bx/pinout.pcf (37, 2021-07-25)
cores/and/rtl/ (0, 2021-07-25)
cores/and/rtl/and.v (107, 2021-07-25)
cores/and/scripts/ (0, 2021-07-25)
cores/and/scripts/proginfo.py (1092, 2021-07-25)
cores/and/synth/ (0, 2021-07-25)
cores/and/synth/top.v (218, 2021-07-25)
cores/and/tb/ (0, 2021-07-25)
cores/and/tb/and.tb.v (465, 2021-07-25)
cores/and_or/ (0, 2021-07-25)
cores/and_or/and_or.core (1803, 2021-07-25)
cores/and_or/boards/ (0, 2021-07-25)
cores/and_or/boards/nexys_a7/ (0, 2021-07-25)
cores/and_or/boards/nexys_a7/pinout.xdc (538, 2021-07-25)
cores/and_or/boards/tinyfpga_bx/ (0, 2021-07-25)
cores/and_or/boards/tinyfpga_bx/pinout.pcf (56, 2021-07-25)
cores/and_or/scripts/ (0, 2021-07-25)
cores/and_or/scripts/proginfo.py (1095, 2021-07-25)
cores/and_or/synth/ (0, 2021-07-25)
cores/and_or/synth/top.v (342, 2021-07-25)
cores/and_or/tb/ (0, 2021-07-25)
cores/and_or/tb/and_or.tb.v (572, 2021-07-25)
cores/or/ (0, 2021-07-25)
cores/or/boards/ (0, 2021-07-25)
cores/or/boards/nexys_a7/ (0, 2021-07-25)
cores/or/boards/nexys_a7/pinout.xdc (346, 2021-07-25)
cores/or/boards/tinyfpga_bx/ (0, 2021-07-25)
cores/or/boards/tinyfpga_bx/pinout.pcf (37, 2021-07-25)
... ...

# FuseSoC Repository: ## About This repository gives an example of getting started with [FuseSoC](https://github.com/olofk/fusesoc), the best HDL package manager available. [Install FuseSoc from here](https://fusesoc.readthedocs.io/en/stable/user/installation.html) This repository has three different cores: * `e4tham:templates:and` * `e4tham:templates:or` * `e4tham:templates:and_or` ## Supported Software * [Icarus Verilog](https://www.howtoinstall.me/ubuntu/18-04/iverilog/) * [IceStorm, nextpnr, Yosys](http://bygone.clairexen.net/icestorm/#install) * [Vivado](https://www.xilinx.com/support/download.html) ## Supported Hardware * [TinyFPGA BX](https://tinyfpga.com/) * [Nexys A7](https://store.digilentinc.com/nexys-a7-fpga-trainer-board-recommended-for-ece-curriculum/) ## Getting Started ### To add this library via Git ```bash fusesoc library add e4tham_templates https://github.com/E4tHam/fusesoc_template --sync-type=git ``` ### To add this library from local clone ```bash fusesoc library add e4tham_templates ${fusesoc_template_location}/cores --sync-type=local ``` ## Usage ### `e4tham:templates:and` ```bash # Simulate in Icarus Verilog fusesoc run --target=sim e4tham:templates:and:1.0.0 # Synthesize for TinyFPGA BX fusesoc run --target=tinyfpga_bx e4tham:templates:and:1.0.0 # Synthesize for Nexys A7 fusesoc run --target=nexys_a7 e4tham:templates:and:1.0.0 ``` ### `e4tham:templates:or` ```bash # Simulate in Icarus Verilog fusesoc run --target=sim e4tham:templates:or:1.0.0 # Synthesize for TinyFPGA BX fusesoc run --target=tinyfpga_bx e4tham:templates:or:1.0.0 # Synthesize for Nexys A7 fusesoc run --target=nexys_a7 e4tham:templates:or:1.0.0 ``` ### `e4tham:templates:and_or` ```bash # Simulate in Icarus Verilog fusesoc run --target=sim e4tham:templates:and_or:1.0.0 # Synthesize for TinyFPGA BX fusesoc run --target=tinyfpga_bx e4tham:templates:and_or:1.0.0 # Synthesize for Nexys A7 fusesoc run --target=nexys_a7 e4tham:templates:and_or:1.0.0 ```

近期下载者

相关文件


收藏者