A-Verilog-HDL-Test-Bench-Primer

所属分类:VHDL/FPGA/Verilog
开发工具:PDF
文件大小:56KB
下载次数:26
上传日期:2011-11-09 21:15:21
上 传 者zhaoyux1027
说明:  verilog testbench 编写入门,轻松教会编写测试代码
(shell interpreter tutorial information, content, round and rich, from the basics)

文件列表:
A Verilog HDL Test Bench Primer.pdf (65477, 2005-08-05)

近期下载者

相关文件


收藏者