Verilog-Mxulie

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:6KB
下载次数:22
上传日期:2011-11-11 15:48:03
上 传 者weizhentian
说明:  用Verilog编的M序列代码,用的是移位发生器的思想,即循环移动并用后来的数值取代
(M-sequence code in Verilog code, using the shift generator the idea that the circulation moving and replaced with the later values)

文件列表:
Verilog编写的M序列发生器\M序列\add_noise.v (617, 2004-06-01)
Verilog编写的M序列发生器\M序列\code.v (2008, 2004-06-02)
Verilog编写的M序列发生器\M序列\decode.v (18990, 2004-06-02)
Verilog编写的M序列发生器\M序列\signal.v (1570, 2004-06-01)
Verilog编写的M序列发生器\使用说明请参看右侧注释====〉〉.txt (774, 2008-01-28)
Verilog编写的M序列发生器\M序列 (0, 2005-10-10)
Verilog编写的M序列发生器 (0, 2008-10-16)

近期下载者

相关文件


收藏者