icdk

所属分类:工具库
开发工具:SystemVerilog
文件大小:0KB
下载次数:0
上传日期:2023-12-16 06:00:08
上 传 者sh-1993
说明:  uvm框架发生器
(uvm framework generator)

文件列表:
.vscode/
src/uvmgen/
test/
MANIFEST.in
pyproject.toml
requirements.txt

# icdk (IC Deveplopment Toolkit) ![PyPI - Python Version](https://img.shields.io/pypi/pyversions/uvmgen) [![PyPI - Version](https://img.shields.io/pypi/v/uvmgen)](https://pypi.org/project/uvmgen) ![GitHub language count](https://img.shields.io/github/languages/count/Dragon-Git/icdk?logo=python) [![Latest Release](https://img.shields.io/github/v/release/Dragon-Git/icdk?color=blue&label=Latest%20Release)](https://github.com/Dragon-Git/icdk/releases/latest) [![downloads](https://pepy.tech/badge/uvmgen)](https://pepy.tech/project/uvmgen) [![CI](https://github.com/Dragon-Git/icdk/actions/workflows/python-package.yml/badge.svg)](https://github.com/Dragon-Git/icdk/actions/workflows/python-package.yml) ![GitHub deployments](https://img.shields.io/github/deployments/Dragon-Git/icdk/release) --- ## Introduction uvmgen is a command-line interface (CLI) program for generating testbench structures based on a provided JSON configuration file. This user guide provides detailed information on how to use uvmgen effectively. ## Installing
Prerequisites - Operating systems - Windows - Linux - macOS - Python: 3.8 ~ 3.12
Use Python's package installer pip to install uvmgen: ```bash python3 -m pip install uvmgen ``` ## Usage The basic usage of uvmgen is as follows: ```bash uvmgen --input --output ``` ### Options - `--input `: Specifies the input JSON file containing the configuration for the testbench structure. - `--output `: Specifies the directory where the generated files will be placed. ### Help For additional help and options, you can use the -h or --help option: ```bash uvmgen -h ``` ## Example Suppose you have a JSON configuration file named testbench_config.json and you want to generate the testbench structure in a directory named tb, you would run the following command: ```bash uvmgen --input testbench_config.json --output tb # or uvmgen -i testbench_config.json -o tb # or uvmgen -i testbench_config.json ``` You can use `test/json/example/typical.json` to generate a complete UVM environment, or use `test/json/base_pkg/***.json` to generate a single package. ## Contribute Contributions are always welcome! Simple fork this repo and submit a pull request.

近期下载者

相关文件


收藏者