dead

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:64
上传日期:2011-11-18 20:34:27
上 传 者kljun007
说明:  PWM波形的取反,以及死区的设置。验证程序是正确的。
(PWM waveform inversion, and the dead zone settings. Verification process is correct.)

文件列表:
死区 (0, 2011-11-06)
死区\Dead_band.v (2391, 2011-01-03)
死区\Dead_out.v (9953, 2011-01-03)

近期下载者

相关文件


收藏者