h_adder

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:40KB
下载次数:3
上传日期:2011-12-13 13:39:24
上 传 者249059432
说明:  半加器的实现,利用VHDL语言实现半加器的运算
(Half adder implementation using VHDL language and a half-adder operation)

文件列表:
h_adder (0, 2011-11-28)
h_adder\fitfstio.txt (6991, 2011-11-28)
h_adder\f_adder(1).cnf (1872, 2011-11-28)
h_adder\f_adder.acf (15851, 2011-11-28)
h_adder\f_adder.cnf (3199, 2011-11-28)
h_adder\f_adder.fit (2520, 2011-11-28)
h_adder\f_adder.gdf (1711, 2011-11-28)
h_adder\f_adder.hex (34004, 2011-11-28)
h_adder\f_adder.hif (1725, 2011-11-28)
h_adder\f_adder.mmf (125, 2011-11-28)
h_adder\f_adder.ndb (777, 2011-11-28)
h_adder\f_adder.pin (5238, 2011-11-28)
h_adder\f_adder.pof (55242, 2011-11-28)
h_adder\f_adder.rpt (18718, 2011-11-28)
h_adder\f_adder.scf (830, 2011-11-28)
h_adder\f_adder.snf (3222, 2011-11-28)
h_adder\f_adder.sof (14431, 2011-11-28)
h_adder\f_adder.sym (261, 2011-11-28)
h_adder\f_adder.ttf (59691, 2011-11-28)
h_adder\h_adder.acf (15946, 2011-11-28)
h_adder\h_adder.cnf (1872, 2011-11-28)
h_adder\h_adder.fit (2357, 2011-11-28)
h_adder\h_adder.gdf (1684, 2011-11-28)
h_adder\h_adder.hex (34004, 2011-11-28)
h_adder\h_adder.hif (1582, 2011-11-28)
h_adder\h_adder.mmf (8, 2011-11-28)
h_adder\h_adder.ndb (570, 2011-11-28)
h_adder\h_adder.pin (5238, 2011-11-28)
h_adder\h_adder.pof (55242, 2011-11-28)
h_adder\h_adder.rpt (18275, 2011-11-28)
h_adder\h_adder.scf (614, 2011-11-28)
h_adder\h_adder.snf (2296, 2011-11-28)
h_adder\h_adder.sof (14431, 2011-11-28)
h_adder\h_adder.sym (222, 2011-11-28)
h_adder\h_adder.ttf (59691, 2011-11-28)

近期下载者

相关文件


收藏者