ASK

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:41KB
下载次数:5
上传日期:2011-12-19 13:04:12
上 传 者chenxh1005
说明:  ASK调制与解调的VHDL源程序 附带仿真
(ASK modulation and demodulation of the VHDL source code with simulation)

文件列表:
ASK.doc (68608, 2010-03-28)

近期下载者

相关文件


收藏者