verilog-CAN-Controler

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:44KB
下载次数:73
上传日期:2012-01-05 20:09:27
上 传 者柔风
说明:  使用verilog语言实现的CAN控制器代码。
(Use the CAN controller verilog language code.)

文件列表:
verilog CAN Controler\can_acf.v (18889, 2005-04-08)
verilog CAN Controler\can_bsp.v (63089, 2004-11-23)
verilog CAN Controler\can_btl.v (14081, 2004-10-28)
verilog CAN Controler\can_crc.v (4630, 2004-02-08)
verilog CAN Controler\can_defines.v (5611, 2004-05-12)
verilog CAN Controler\can_fifo.v (19354, 2005-03-10)
verilog CAN Controler\can_ibo.v (4056, 2004-02-08)
verilog CAN Controler\can_register.v (4465, 2004-02-08)
verilog CAN Controler\can_registers.v (37463, 2005-03-18)
verilog CAN Controler\can_register_asyn.v (4642, 2004-02-08)
verilog CAN Controler\can_register_asyn_syn.v (4729, 2004-02-08)
verilog CAN Controler\can_register_syn.v (4578, 2004-02-08)
verilog CAN Controler\can_top.v (24677, 2004-10-25)
verilog CAN Controler (0, 2012-01-05)

近期下载者

相关文件


收藏者