shizihong

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:2KB
下载次数:4
上传日期:2012-01-08 07:59:51
上 传 者apple_xie
说明:  用VHDL语言编写数字钟的程序,实现数字钟的几个功能,如计时、校时、闹钟和整点报时
(Digital clock using VHDL language programs, digital clock several functions, such as timing, timing, alarm and hourly chime)

文件列表:
shizihong.vhd (11136, 2011-12-30)

近期下载者

相关文件


收藏者