clock

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:8KB
下载次数:2
上传日期:2012-02-06 14:31:27
上 传 者sqy12345
说明:  电子钟的设计,包括,小时计数,分钟计数,秒的计数,还有之间的进位。
(the design of clock)

文件列表:
clock (0, 2012-02-06)
clock\clock_sqy.vhd (7084, 2011-07-06)
clock\ctl_date.vhd (940, 2011-07-06)
clock\ctl_hour.vhd (931, 2011-07-06)
clock\ctl_min.vhd (929, 2011-07-06)
clock\ctl_month.vhd (951, 2011-07-06)
clock\ctl_sec.vhd (1073, 2011-07-06)
clock\ctl_year.vhd (1001, 2011-07-06)
clock\date_30.vhd (838, 2011-07-06)
clock\hour_24.vhd (833, 2011-07-06)
clock\month_12.vhd (841, 2011-07-06)
clock\sel8_4.vhd (1480, 2011-07-06)
clock\std_bcd.vhd (700, 2011-07-06)
clock\s_m_60.vhd (824, 2011-07-06)
clock\year_10000.vhd (890, 2011-07-06)
clock\year_std_bcd.vhd (1329, 2011-07-06)

近期下载者

相关文件


收藏者