src

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:44KB
下载次数:7
上传日期:2012-02-09 21:32:25
上 传 者denhuai
说明:  基于的fpga的示波器的FPGA实现的。详细的给出来示波器系统的各种逻辑功能。
(Based on the FPGA oscilloscope FPGA realization. Detailed to the oscilloscope system various logic functions. )

文件列表:
src (0, 2011-10-24)
src\ADC_CTL.v (6549, 2011-10-09)
src\ADC_FIFO.v (6884, 2011-09-07)
src\ADC_PLL.v (14624, 2011-09-07)
src\BCD_CONTER.v (4665, 2011-09-21)
src\CHAR_SHOW_CTL.v (7735, 2011-10-24)
src\CHAR_SHOW_ROM.qip (200, 2011-10-24)
src\CHAR_SHOW_ROM.v (6454, 2011-10-24)
src\CHAR_SHOW_ROM2.mif (11020, 2011-10-24)
src\DA_SIN_1K.v (2856, 2011-09-09)
src\DA_SIN_1K_ROM.mif (10999, 2010-09-13)
src\DA_SIN_1K_ROM.v (6439, 2011-09-23)
src\ILI9325_CTL.v (9496, 2011-09-21)
src\ILI9325_INIT_ROM.mif (2291, 2011-09-05)
src\ILI9325_INIT_ROM.v (6496, 2011-09-07)
src\ILI9325_INTERFACE.v (6745, 2011-07-20)
src\IR_CTL.v (7326, 2011-09-23)
src\NUM_SHOW_CTL.v (11396, 2011-09-22)
src\NUM_SHOW_ROM.mif (1998, 2011-09-21)
src\NUM_SHOW_ROM.v (6446, 2011-09-21)
src\OSCILLOSCOPE.v (7157, 2011-10-09)
src\SHOW_CTL.v (5638, 2011-09-22)
src\transcript (432, 2011-11-21)
src\USER_KEYY_CTL.v (9232, 2011-10-09)
src\WAVE_INFO_CHECK.v (9875, 2011-09-22)
src\WAVE_SHOW_CTL.v (7380, 2011-09-22)
src\WAVE_SHOW_RAM.v (6925, 2011-09-07)

近期下载者

相关文件


收藏者