counter2

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:274KB
下载次数:8
上传日期:2012-04-23 16:38:30
上 传 者不告你
说明:  spartan-3e fpga vhdl 实现的计数器 记满后点亮小灯
(spartan-3e fpga vhdl counter to light led)

文件列表:
counter2\.lso (6, 2012-04-14)
counter2\counter2.bgn (4750, 2012-04-15)
counter2\counter2.bit (169309, 2012-04-15)
counter2\counter2.bld (1026, 2012-04-15)
counter2\counter2.cfi (439, 2012-04-15)
counter2\counter2.cmd_log (3511, 2012-04-15)
counter2\counter2.drc (131, 2012-04-15)
counter2\counter2.gise (18506, 2012-04-15)
counter2\counter2.ise (49227, 2012-04-15)
counter2\counter2.lso (6, 2012-04-14)
counter2\counter2.mcs (465404, 2012-04-15)
counter2\counter2.ncd (11421, 2012-04-15)
counter2\counter2.ngc (12212, 2012-04-15)
counter2\counter2.ngd (18426, 2012-04-15)
counter2\counter2.ngr (3794, 2012-04-15)
counter2\counter2.ntrc_log (480, 2012-04-15)
counter2\counter2.pad (6749, 2012-04-15)
counter2\counter2.par (7318, 2012-04-15)
counter2\counter2.pcf (496, 2012-04-15)
counter2\counter2.prj (26, 2012-04-15)
counter2\counter2.prm (655, 2012-04-15)
counter2\counter2.ptwx (17000, 2012-04-15)
counter2\counter2.sig (225, 2012-04-15)
counter2\counter2.stx (0, 2012-04-15)
counter2\counter2.syr (15407, 2012-04-15)
counter2\counter2.twr (2777, 2012-04-15)
counter2\counter2.twx (19018, 2012-04-15)
counter2\counter2.ucf (98, 2012-04-15)
counter2\counter2.unroutes (153, 2012-04-15)
counter2\counter2.ut (393, 2012-04-15)
counter2\counter2.vhd (1287, 2012-04-15)
counter2\counter2.xise (3046, 2012-04-15)
counter2\counter2.xpi (46, 2012-04-15)
counter2\counter2.xst (1127, 2012-04-15)
counter2\counter2_guide.ncd (11421, 2012-04-15)
counter2\counter2_map.map (3017, 2012-04-15)
counter2\counter2_map.mrp (6194, 2012-04-15)
counter2\counter2_map.ncd (8458, 2012-04-15)
counter2\counter2_map.ngm (36133, 2012-04-15)
counter2\counter2_map.xrpt (9743, 2012-04-15)
... ...

近期下载者

相关文件


收藏者