8899

所属分类:VHDL/FPGA/Verilog
开发工具:matlab
文件大小:9KB
下载次数:25
上传日期:2006-05-15 15:26:45
上 传 者songchengqiao
说明:  最高优先级编码器,是特别好的东西,好不容易才弄到的.
(highest priority encoder, is especially good things, the result of the hard-won.)

文件列表:
8899\一个简单的状态机.vhd (773, 2003-05-05)
8899\相应加法器的测试向量(test bench).vhd (4394, 2003-05-05)
8899\伪随机数产生器.vhd (8067, 2003-05-05)
8899\通用寄存器.txt (2132, 2003-05-05)
8899\四D触发器74175.txt (862, 2003-05-05)
8899\直流电机控制器.vhd (10174, 2003-05-05)
8899\移位寄存器:74164.txt (657, 2003-05-05)
8899\最高优先级编码器.txt (1235, 2003-05-05)
8899 (0, 2006-05-15)

近期下载者

相关文件


收藏者