uart_VHDL

所属分类:串口编程
开发工具:Windows_Unix
文件大小:10KB
下载次数:141
上传日期:2006-05-16 15:53:39
上 传 者henyumen
说明:  uart的vhdl实现代码 分模块设计和状态机设计 不错的,用它没错
(UART achieve the VHDL code modular design and state machine design good, the right to use it)

文件列表:
uart_VHDL\xmit_rcv_control_fsm.vhd (9905, 2001-09-11)
uart_VHDL\clock_divider.v (2124, 2001-09-11)
uart_VHDL\control_operation_fsm.vhd (3678, 2001-09-11)
uart_VHDL\cpu_interface_rtl.vhd (2084, 2001-09-11)
uart_VHDL\serial_interface_rtl.vhd (5860, 2001-09-11)
uart_VHDL\status_registers_rtl.vhd (2232, 2001-09-11)
uart_VHDL\tester.v (3068, 2001-09-11)
uart_VHDL\uart_tb.v (1202, 2001-09-11)
uart_VHDL\uart_top_rtl.vhd (6252, 2001-09-11)
uart_VHDL\address_decode_rtl.vhd (1583, 2001-09-11)
uart_VHDL (0, 2006-05-16)

近期下载者

相关文件


收藏者