CPSK

所属分类:VHDL/FPGA/Verilog
开发工具:Java
文件大小:5KB
下载次数:3
上传日期:2006-05-23 00:18:42
上 传 者wjycsu
说明:  CPSK调治程序 CPSK调治程序
(CPSK modulating procedures CPSK modulating procedures CPSK modulating procedures)

文件列表:
CPSK\PL_CPSK.vhd (1248, 2006-04-25)
CPSK\PL_CPSK2.vhd (937, 2006-04-25)
CPSK\cpsk.vhd (1087, 2006-04-25)
CPSK\cpsk.acf (14689, 2006-04-25)
CPSK\cpsk.hif (1514, 2006-04-25)
CPSK (0, 2006-04-25)

近期下载者

相关文件


收藏者