5-3-10_ModelSim

所属分类:DSP编程
开发工具:Others
文件大小:30KB
下载次数:15
上传日期:2006-05-30 10:39:05
上 传 者wh632wh632
说明:  综合仿真程序,含全加,解码,滤波等多种功能 Verilog语言
(integrated simulation programs, including the All-Canadian, decoding, filtering and other functions Verilog language)

文件列表:
5-3-1_ModelSim (0, 2004-02-03)
5-3-1_ModelSim\work (0, 2004-02-03)
5-3-1_ModelSim\work\_info (2170, 2004-02-04)
5-3-1_ModelSim\work\ex1 (0, 2004-02-03)
5-3-1_ModelSim\work\ex1\_primary.vhd (297, 2004-02-03)
5-3-1_ModelSim\work\ex1\verilog.asm (2425, 2004-02-03)
5-3-1_ModelSim\work\ex1\_primary.dat (170, 2004-02-03)
5-3-1_ModelSim\work\full_adder (0, 2004-02-03)
5-3-1_ModelSim\work\full_adder\_primary.vhd (311, 2004-02-03)
5-3-1_ModelSim\work\full_adder\verilog.asm (2901, 2004-02-03)
5-3-1_ModelSim\work\full_adder\_primary.dat (166, 2004-02-03)
5-3-1_ModelSim\work\myinv (0, 2004-02-03)
5-3-1_ModelSim\work\myinv\_primary.vhd (172, 2004-02-03)
5-3-1_ModelSim\work\myinv\verilog.asm (1530, 2004-02-03)
5-3-1_ModelSim\work\myinv\_primary.dat (103, 2004-02-03)
5-3-1_ModelSim\work\ex2 (0, 2004-02-03)
5-3-1_ModelSim\work\ex2\_primary.vhd (168, 2004-02-03)
5-3-1_ModelSim\work\ex2\verilog.asm (2401, 2004-02-03)
5-3-1_ModelSim\work\ex2\_primary.dat (192, 2004-02-03)
5-3-1_ModelSim\work\@comparator_4 (0, 2004-02-03)
5-3-1_ModelSim\work\@comparator_4\_primary.vhd (568, 2004-02-03)
5-3-1_ModelSim\work\@comparator_4\verilog.asm (8853, 2004-02-03)
5-3-1_ModelSim\work\@comparator_4\_primary.dat (868, 2004-02-03)
5-3-1_ModelSim\work\@decoder3_8 (0, 2004-02-03)
5-3-1_ModelSim\work\@decoder3_8\_primary.vhd (306, 2004-02-03)
5-3-1_ModelSim\work\@decoder3_8\verilog.asm (4189, 2004-02-03)
5-3-1_ModelSim\work\@decoder3_8\_primary.dat (738, 2004-02-03)
5-3-1_ModelSim\work\@encoder8_3 (0, 2004-02-03)
5-3-1_ModelSim\work\@encoder8_3\_primary.vhd (306, 2004-02-03)
5-3-1_ModelSim\work\@encoder8_3\verilog.asm (6173, 2004-02-03)
5-3-1_ModelSim\work\@encoder8_3\_primary.dat (738, 2004-02-03)
5-3-1_ModelSim\work\counter_4 (0, 2004-02-04)
5-3-1_ModelSim\work\counter_4\_primary.vhd (435, 2004-02-04)
5-3-1_ModelSim\work\counter_4\verilog.asm (4944, 2004-02-04)
5-3-1_ModelSim\work\counter_4\_primary.dat (414, 2004-02-04)
5-3-1_ModelSim\work\@multi@plexer4_1 (0, 2004-02-04)
5-3-1_ModelSim\work\@multi@plexer4_1\_primary.vhd (381, 2004-02-04)
5-3-1_ModelSim\work\@multi@plexer4_1\verilog.asm (4279, 2004-02-04)
5-3-1_ModelSim\work\@multi@plexer4_1\_primary.dat (386, 2004-02-04)
5-3-1_ModelSim\work\@d_@flip_@flop (0, 2004-02-04)
... ...

近期下载者

相关文件


收藏者