Projet_Sahar2

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:15842KB
下载次数:22
上传日期:2012-06-12 09:36:33
上 传 者abdilotfi
说明:  wdt for watermarking image in vhdl code

文件列表:
Projet_Sahar2\Bench\bmp_generator.vhd (12783, 2012-01-16)
Projet_Sahar2\Bench\dct_beh.vhd (9057, 2012-01-16)
Projet_Sahar2\Bench\test_dct.vhd (6668, 2012-01-16)
Projet_Sahar2\IDCT.vhd (41605, 2012-01-14)
Projet_Sahar2\tatouage\.lso (6, 2012-01-15)
Projet_Sahar2\tatouage\compteur.lso (6, 2012-01-15)
Projet_Sahar2\tatouage\compteur.prj (26, 2012-01-15)
Projet_Sahar2\tatouage\compteur.spl (65, 2012-01-14)
Projet_Sahar2\tatouage\compteur.stx (1237, 2012-01-15)
Projet_Sahar2\tatouage\compteur.sym (830, 2012-01-14)
Projet_Sahar2\tatouage\compteur.vhd (1494, 2012-01-15)
Projet_Sahar2\tatouage\compteur.xst (252, 2012-01-15)
Projet_Sahar2\tatouage\compteur_beh.prj (26, 2012-01-15)
Projet_Sahar2\tatouage\compteur_isim_beh.wdb (7466760, 2012-01-15)
Projet_Sahar2\tatouage\compteur_stx_beh.prj (31, 2012-01-15)
Projet_Sahar2\tatouage\compteur_test.vhd (3687, 2012-01-15)
Projet_Sahar2\tatouage\compteur_test_beh.prj (57, 2012-01-15)
Projet_Sahar2\tatouage\compteur_test_bench.vhd (2415, 2012-01-15)
Projet_Sahar2\tatouage\compteur_test_isim_beh.exe (119296, 2012-01-15)
Projet_Sahar2\tatouage\compteur_test_isim_beh.wdb (7438, 2012-01-15)
Projet_Sahar2\tatouage\compteur_test_stx_beh.prj (67, 2012-01-15)
Projet_Sahar2\tatouage\compteur_vhdl.prj (71, 2012-01-15)
Projet_Sahar2\tatouage\DCT8AAN1.prj (26, 2012-01-14)
Projet_Sahar2\tatouage\DCT8AAN1.stx (901, 2012-01-14)
Projet_Sahar2\tatouage\DCT8AAN1.vhd (8282, 2012-01-16)
Projet_Sahar2\tatouage\DCT8AAN1.xst (76, 2012-01-14)
Projet_Sahar2\tatouage\DCT8AAN1_isim_beh.wdb (329184, 2012-01-16)
Projet_Sahar2\tatouage\DCT8AAN1_isim_beh1.wdb (11240, 2012-01-15)
Projet_Sahar2\tatouage\DCT8AAN1_stx_beh.prj (31, 2012-01-16)
Projet_Sahar2\tatouage\DCT8AAN1_vhdl.prj (102, 2012-01-14)
Projet_Sahar2\tatouage\DCT8AAN2.prj (26, 2012-01-14)
Projet_Sahar2\tatouage\DCT8AAN2.stx (901, 2012-01-14)
Projet_Sahar2\tatouage\DCT8AAN2.vhd (8307, 2012-01-15)
Projet_Sahar2\tatouage\DCT8AAN2.xst (76, 2012-01-14)
Projet_Sahar2\tatouage\DCT8AAN2_vhdl.prj (102, 2012-01-14)
Projet_Sahar2\tatouage\DCT_AAN.lso (6, 2012-01-15)
Projet_Sahar2\tatouage\DCT_AAN.prj (102, 2012-01-15)
Projet_Sahar2\tatouage\DCT_AAN.spl (90, 2012-01-14)
Projet_Sahar2\tatouage\DCT_AAN.stx (1653, 2012-01-15)
Projet_Sahar2\tatouage\DCT_AAN.sym (1250, 2012-01-14)
... ...

The following files were generated for 'ROM_Memory' in directory C:\Users\hp\Desktop\tatouageSAhroura: ROM_Memory.asy: Graphical symbol information file. Used by the ISE tools and some third party tools to create a symbol representing the core. ROM_Memory.mif: Memory Initialization File which is automatically generated by the CORE Generator System for some modules when a simulation flow is specified. A MIF data file is used to support HDL functional simulation of modules which use arrays of values. ROM_Memory.ngc: Binary Xilinx implementation netlist file containing the information required to implement the module in a Xilinx (R) FPGA. ROM_Memory.sym: Please see the core data sheet. ROM_Memory.v: Verilog wrapper file provided to support functional simulation. This file contains simulation model customization data that is passed to a parameterized simulation model for the core. ROM_Memory.veo: VEO template file containing code that can be used as a model for instantiating a CORE Generator module in a Verilog design. ROM_Memory.vhd: VHDL wrapper file provided to support functional simulation. This file contains simulation model customization data that is passed to a parameterized simulation model for the core. ROM_Memory.vho: VHO template file containing code that can be used as a model for instantiating a CORE Generator module in a VHDL design. ROM_Memory.xco: CORE Generator input file containing the parameters used to regenerate a core. ROM_Memory_blk_mem_gen_v2_7_xst_1.ngc_xst.xrpt: Please see the core data sheet. ROM_Memory_flist.txt: Text file listing all of the output files produced when a customized core was generated in the CORE Generator. ROM_Memory_readme.txt: Text file indicating the files generated and how they are used. ROM_Memory_xmdf.tcl: ISE Project Navigator interface file. ISE uses this file to determine how the files output by CORE Generator for the core can be integrated into your ISE project. Please see the Xilinx CORE Generator online help for further details on generated files and how to use them.

近期下载者

相关文件


收藏者