fenpin

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:2
上传日期:2012-06-13 16:30:06
上 传 者Dire_wolf
说明:  VHDL编写的分频器,占空比为1:1,可以根据需要,修改计数器,完成不同频率的分频
(Divider in VHDL, the duty cycle of 1:1, as needed, modify the counter, complete different frequency divider)

文件列表:
分频计数.txt (661, 2012-06-13)

近期下载者

相关文件


收藏者